Tagasi

EVS-ES 59011:2003

Specification for the representation of Quality rules and metrics for Hardware and Software Design Languages

Üldinfo
Kehtetu alates 06.11.2009
Alusdokumendid
ES 59011:2001
Direktiivid või määrused
puuduvad

Standardi ajalugu

Staatus
Kuupäev
Tüüp
Nimetus
Põhitekst
The quality or methodology departments of all major European automotive, electronic, telecom and aerospace companies try to ensure that code developed within the company adheres to certain coding guidelines. These rules cover aspects of programming style that relate to, for example,  there us ability, maintainability, potability and documentation of the code. The coding guidelines are either industry standards or rules that have been specified within the company, and typically exist in the form of written documents accessible by all programmers or designers
*
*
*
PDF
15,86 € koos KM-ga
Paber
15,86 € koos KM-ga
Sirvi standardit alates 2,44 € koos KM-ga
Standardi monitooring