Back

IEC 62530:2011

SystemVerilog - Unified Hardware Design, Specification, and Verification Language

General information
Withdrawn from 26.07.2021
Directives or regulations
None

Standard history

Status
Date
Type
Name
26.07.2021
Main
19.05.2011
Main
IEC 62530:2011(E) Provides a unified Hardware Design, Specification, and Verification language. IEEE Std 1364TM-2005 Verilog is a design language. Both standards were approved by the IEEE-SASB in November 2005. This standard creates new revisions of the IEEE 1364 Verilog and IEEE 1800 SystemVerilog standards, which include errata fixes and resolutions, enhancements, enhanced assertion language, merger of Verilog Language Reference Manual (LRM) and SystemVerilog 1800 LRM into a single LRM, integration with Verilog-AMS, and ensures interoperability with other languages such as SystemC and VHDL. This publication has the status of a double logo IEEE/IEC standard.
*
*
*
PDF
557.22 € incl tax
Standard monitoring